bokomslag Verilog Design of a Pedestrian Crossing
Data & IT

Verilog Design of a Pedestrian Crossing

Ninad Gondhalekar

Pocket

649:-

Funktionen begränsas av dina webbläsarinställningar (t.ex. privat läge).

Uppskattad leveranstid 3-7 arbetsdagar

Fri frakt för medlemmar vid köp för minst 249:-

  • 26 sidor
  • 2014
Technical Report from the year 2013 in the subject Computer Science - Programming, grade: 80%, Northumbria University, course: BEng. Electrical and Electronic Engineering (Hons.), language: English, abstract: This laboratory results shows the Verilog hardware design language (HDL) and Finite State Machine to control a pedestrian crossing controller and its modification. In session-1, simple Verilog program is simulated and then tested on Coolrunner-II board. In this session the controller three outside world input which are Clock (CLK), Reset (RESET), Pedestrian (PED) and three output which are Red light (RED), Amber light (AMBER), Green light (GREEN).
In session-2, some modifications are done on Verilog program used in session-1 and then again simulated and tested on Coolrunner-II board. In this session the controller 7 segment displays is used to see changes in pelstate, while running modified Verilog program on Coolrunner-II board and Carsensor outside world input has been introduced in modified Verilog program. In this modified Verilog program, number of pelstate has been increased.
This laboratory session provides a good opportunity to learn Xilinx ISE Design Suit software and introduce to Coolrunner-II board.
  • Författare: Ninad Gondhalekar
  • Format: Pocket/Paperback
  • ISBN: 9783656845027
  • Språk: Engelska
  • Antal sidor: 26
  • Utgivningsdatum: 2014-12-08
  • Förlag: Grin Verlag